如何在Verilog中执行(ieee 754浮点数)有效除法

时间:2018-10-27 05:14:51

标签: floating-point verilog division mantissa

我试图用Verilog编写IEEE 754单精度浮点除法格式的代码,然后进行合成以能够在ZedBoard上实现 下面的内容显示了IEEE 754除法的计算公式

Foo

此处通常如何查找M1 / M2? 有没有计算M1 / M2的算法(特别是对于单精度)?

我目前所知道的东西:

  1. 符号位可以通过对除数和除数的符号位进行异或来完成
  2. 指数可以通过从被除数的除数中减去指数,然后加上偏差(单精度为127)来计算。
  3. 我知道这不是使用某种除法算法将M1和M2作为除数和除数发送(即,不只是对M1和M2应用整数除法)

我想知道的事情:

  1. 找到M1 / M2的算法
  2. 如何在Verilog中实现(使其可合成)?

0 个答案:

没有答案