模型中{vermog}的模拟结果

时间:2017-07-19 04:02:48

标签: verilog modelsim

对于我的任务,我需要在日志文件中的modelsim中获取我的verilog simuation的结果。我已经截取了波形窗口的截图。除此之外,我还从成绩单窗口中取出了打印件。

有没有办法将成绩单存储到日志文件中?

请解释存储我的verilog模拟结果的命令。

1 个答案:

答案 0 :(得分:2)

<。> .vcd文件是IEEE 1364-1995标准文件,其中包含对调试模拟有用的所有模拟波形信息。它包含设计中的所有信号,因此如果需要在波形窗口中添加信号,则无需重新运行模拟。

创建.vcd文件:

1)在成绩单窗口中成功编译和加载设计

2)指定VCD文件名

  • 语法:vcd file .vcd

3)启用VCD以在所需实例下转储信号

  • 语法:vcd add / *

注意:此命令不会转储子实例的信号

                  Enable VCD for encrypted instances will generate warnings

4)运行模拟生成VCD数据库

5)退出模拟

  • 语法:quit sim

能够在Modelsim波形窗口中显示.vcd文件中的信号:

  1)    Convert VCD to WLF format in ModelSim
  • 语法:vcd2wlf

注意:如果转换失败,大多数情况下它是由不存在的实例路径引起的。确保步骤3中指定的所需实例路径正确

2)退出当前的ModelSim会话(ModelSim需要生成正确的VCD文件)

3)ModelSim会话并打开在步骤1中创建的WLF文件

  • 文件菜单 - &gt;打开 - &gt; file2.wlf

4)在对象窗口中选择要调试的信号,并将它们添加到波形窗口

答案是副本 https://www.altera.com/support/support-resources/knowledge-base/solutions/rd07062010_692.html