Modelsim 10.4 SE中的Verilog模拟错误

时间:2015-01-20 03:15:53

标签: verilog modelsim

CODE:// 2x4_decoder的门级描述

module decoder_2X4_gates(D,A,B);
output [0:3] D;
input  A,B;
wire A_not, B_not;
not f1(A_not,A);
not f2(B_not,B);
nand f4(D[0],A_not,B_not);
nand f5(D[1],A_not,B);
nand f6(D[2],A,B_not);
nand f7(D[3],A,B);
endmodule;

错误: 无法读取“Startup(-L)”:数组中没有这样的元素

加载取消

2 个答案:

答案 0 :(得分:1)

不确定从波形窗口强制值的问题,但我建议创建一个测试平台,您可以只执行模拟并查看结果。

即:

module tb;

  reg A;       //Test Input
  reg B;       //Test Input
  wire [3:0] D;//Test Output

  //Device Under Test
  decoder_2X4_gates dut (
    .A (A),
    .B (B),
    .D (D)
  );

  //Test Program
  initial begin
    A=1'b0;
    B=1'b0;
    #1ps $displayb(D);

    #1ns;
    A=1'b1;
    B=1'b0;
    #1ps $displayb(D);

    #1ns;
    A=1'b0;
    B=1'b1;
    #1ps $displayb(D);


    #1ns;
    A=1'b1;
    B=1'b1;
    #1ps $displayb(D);

    $finish;
  end

endmodule

EDA Playground上有一个有效的例子。

答案 1 :(得分:0)

在我的情况下,我收到了同样的错误。挖掘后我发现没有安装许可证文件。安装许可证文件后,我能够按预期向前移动。