Verilog连续模拟

时间:2012-05-14 02:54:15

标签: verilog modelsim

verilog中是否有特殊的开始和结束关键字,允许模拟继续运行,直到满足结束关键字为止?我明白这不会是可综合的;但是,我只想将它用于测试目的。此外,verilog中的$ finish关键字退出modelsim。

1 个答案:

答案 0 :(得分:6)

$finish$stop是停止模拟的verilog系统任务。 $finish终止模拟,而$stop停止模拟并进入交互模式。所以你可以使用$stop。即使$finish也应该没问题,因为ModelSim通常会询问您是否要完成。选择“否”保持模拟模式,选择“是”将退出ModelSim。