红色输出线-Verilog模拟

时间:2018-12-11 23:30:08

标签: verilog modelsim

我尝试在Modelsim中模拟我在Verilog上的代码。当我对其进行仿真时,它向我显示X(红色)输出线。这是我的代码和测试平台:

module alu64bit (
    input wire [63:0] a,    // Input bit a
    input wire [63:0] b,    // Input bit b
    input wire cin,         // Carry in
    input wire [1:0] op,    // Operation
    output wire [63:0] s,   // Output S
    output wire cout        // Carry out
);

wire [63:0] cin_out;
assign cout = cin_out[63];
assign cin = cin_out[0];

genvar i;
generate
    for(i=0; i <= 63; i = i + 1) begin
        alu1bit alu (.s(s[i]),.cout(cin_out[i+1]),.a(a[i]),.b(b[i]),.cin(cin_out[i]),.op(op));
    end 
endgenerate

// End of your code

endmodule

TB:

module alu64bit_test;


    reg [63:0] a;
    reg [63:0] b;
    reg [1:0] op;
    reg cin;

    wire [63:0] s;
    wire cout;

    alu64bit uut (
        .a(a),  
        .b(b),
        .cin(cin), 
        .op(op),
        .s(s), 
        .cout(cout)
    );

    initial begin
        a = 64'hffffffffffffffff;
        b = 64'h0000000000000000;
        cin = 0;
        op[1] = 1;
        op[0] = 0;
        #100;

        end 

endmodule

enter image description here

有人可以帮助我解决这个问题吗?谢谢!

0 个答案:

没有答案