VHDL IEEE库中的可合成固定/浮点

时间:2016-02-06 14:50:30

标签: vhdl ieee-754 fixed-point synthesis ieee

我正在创建一个VHDL项目(Spartan-6的Xilinx ISE),需要在固定/浮点数中使用十进制“实际”数字(我希望固定点就足够了)。 / p>

作为VHDL的新手,我发现非常规draw...(...)类型不支持合成的困难方式,所以我开始寻找一个IP核或库来解决这个问题。

到目前为止,我找到了3个选项;

1)Xilinx提供的floating point IP core

2)由David Bishop撰写的可下载的“ieee_proposed”图书馆found here

3)在尝试了解如何使用David Bishops文件“创建”新库时花了一个公平,我快速浏览了默认的imageInterpolation库并看到它包含{{1} }和real包。

我的问题是 - 两个图书馆 - 哪个是明智的?一个适合于合成而一个不适合,或者一个比另一个更老?然后,如果提供浮点,那么Xilinx提供的浮点IP内核是否有任何实际意义?

我在试图添加IEEE库的许多questions人中搜寻过,但似乎没有人提到他们在现有ieee.fixed_generic_pkg中似乎已存在的事实。

非常感谢您的帮助!

============更新(基本上我自己努力解决)==================

我实际上无法使用ieee.fixed_pkg - 尝试这样做会给我一个错误ieee_proposed

在C:\ Xilinx \ 14.7 \ ISE_DS \ ISE \ vhdl \ xst \ n找到ieee库后,我发现IEEE实际上存在于ieee_proposed中。但是,这仍然会引发同样的错误!

2 个答案:

答案 0 :(得分:2)

愚蠢的问题,但是当你下载 ieee_proposed 的时候,你还记得编译吗?

编辑:还记得将库映射到您的模拟。也许你已经做到了这一切,但这些都是我经常犯的错误。

答案 1 :(得分:2)

我几天来一直在和同样的问题作斗争。 我解决它的方式是: 1.将fixed_float_types_c,fixed_pkg_c和float_pkg_c vhdl文件添加到项目中。 2.声明它们属于工作库(Quartus文件窗口中的属性) 3.使用以下命令编译项目和调用库: 图书馆工作; 使用work.fixed_pkg.all;

令我烦恼的是,他们不会出现我希望他们写的漂亮的红色文字,但它有效!