如何在Verilog中分配什么东西?

时间:2014-05-07 17:49:50

标签: verilog

例如,我有这样的事情:

reg b5,b3,b2,b0;
wire [5:0] vector;

assign {b5,<unused>,b3,b2,<unused>,b0} = vector;

我不关心矢量的第1和第4位。

使用什么最好的东西而不是? 1&#39; BZ? 1&#39; B0?还是1&#39; bX?还是...?

2 个答案:

答案 0 :(得分:2)

您只能指定实际关注的位。

assign b5 = vector[5];
assign b3 = vector[3];
assign b2 = vector[2];
assign b0 = vector[0];

您也可以使用向量的子集执行此操作:

reg [1:0] 2bits;
wire [5:0] vector;
assign 2bits = vector[1:0];

答案 1 :(得分:1)

怎么样:

reg b5,b3,b2,b0;
wire [5:0] vector;
reg dummy1, dummy2;

assign {b5,dummy1,b3,b2,dummy2,b0} = vector;
相关问题