Verilog,我可以为always块中的多个位分配一个位值

时间:2012-02-15 01:21:44

标签: verilog

以下是代码:

always @ (C[n-1])
begin
   C[2*n-1:n]=C[n-1];
end 

这可能吗?如果没有,我该怎么办?基本上它正在执行符号扩展。

1 个答案:

答案 0 :(得分:4)

在Verilog中复制位的语法是{COUNT{bits}}。在你的情况下,像{n{C[n-1]}}