使用“”时,Synopsys Synplify Pro综合失败

时间:2018-12-06 07:40:01

标签: verilog synthesis xilinx-ise synplify

当我尝试使用这种构造时,我的合成失败了

`define defLOMIC 0 //For example
`define rd(LOMIC) `def``LOMIC

后期:

wire lod = `rd(LOMIC);

错误:

E   CS231   Unknown macro def   VDF_TOP.v (368) syntax.log (13) 09:14:32 Thu Dec 06 Synthesis Check
E   CS234   expecting identifier immediately following back-quote (`)   VDF_TOP.v (368) syntax.log (14) 09:14:32 Thu Dec 06 Synthesis Check

如果我为此使用ISE XST,则综合进展顺利。

使用了“ Synplify C-2009.06”

有人知道也许不支持“''吗?如何启用?还是支持哪个版本?

1 个答案:

答案 0 :(得分:1)

'``'是SystemVerilog构造。将文件扩展名更改为* .sv。或使用-sysv开关。 2009年的版本可能太旧了。