您将如何在Verilog或VHDL中实现此数字逻辑?

时间:2009-01-28 04:25:04

标签: logic hardware vhdl verilog

我向answer发布了another stackoverflow question,要求在Verilog或VHDL中实现一些数字逻辑,以便将其编程到FPGA中。

您将如何使用Verilog,VHDL或任何其他硬件描述语言实现以下逻辑图?

带编号的框表示字段中的位。每个字段具有 K 位,并且 current mask 的位将由计算机系统提供(使用锁存寄存器或等效物)。 next 中的位将被读回到同一计算机系统中。

alt text http://img145.imageshack.us/img145/5125/bitshifterlogicdiagramkn7.jpg

另请参阅:this stackoverflow question

1 个答案:

答案 0 :(得分:2)

这样的东西?

module scheduler
 #( parameter K = 10 )
  (
   input wire [K:1] current,
   input wire [K:1] mask,
   output reg [K:1] next
   );

   reg [K:1] a;
   reg [K:1] b;

   //'[i+1]' busses that wrap.
   // eg, for a 4-bit bus...
   // a[i]:        a[4],a[3],a[2],a[1] (obviously...)
   // a_wrap[i]:   a[1],a[4],a[3],a[2] 
   wire [K:1] mask_wrap    = { mask[1],mask[K:2] };
   wire [K:1] a_wrap       = { a[1], a[K:2] };
   wire [K:1] current_wrap = { current[1], current[K:2] };

   integer i;
   always @( * ) begin
      for( i=1; i<=K; i=i+1 ) begin
         a[i] = ~current_wrap[i] && b[i];
         b[i] = a_wrap[i] || mask_wrap[i];
         next[i] = ~a[i] && mask_wrap[i];
      end
   end


endmodule

(免责声明:有色但未模拟)