在Quartus中编译Verilog HDL

时间:2017-11-08 10:08:11

标签: verilog quartus

我使用Quartus运行模拟并分析Verilog代码。我已经搜索了互联网和Stack Overflow,但似乎没有为我的问题提出答案。

我想使用在Quartus中打开的Verilog代码运行RTL Viewer,但是当我打开Verilog代码本身时,编译和其他选项都处于非活动状态。我注意到,当我打开一个似乎有用的QPF文件时。

我是否需要创建QPF文件才能使用RTL Viewer?如果是这样,我怎么能用现有的Verilog代码呢?

1 个答案:

答案 0 :(得分:2)

为了能够使用RTL Viewer,您需要在Quartus软件中至少运行Analysis & Elaboration任务。此任务根据您的逻辑(即代码)(比较:Intel® Quartus® Prime Standard Edition Handbook,第791页)生成预合成网表,RTL Viewer使用该网表。除非您创建了Quartus Project,否则您将无法运行此任务。

QPF文件只是Quartus Project File。您可以创建新项目,只需添加先前创建的代码。只需按Project > Add/Remove Files in Project