模块之间的数据传输 - Verilog

时间:2017-03-06 17:01:03

标签: verilog fpga

在Verilog中我有一个主模块,它应该通过输入数字接受数据并将它们设置在线路BCD16上。 在子模块中,我有一个输出编号,其编号设置(即'h358),但该值不会到达导线BCD16。 编译器不显示任何错误。 代码如下:

module LED_7seg(
    input clk, number,
....
);

....
wire [15 : 0] set; 
assign set = number;
  ....
endmodule

Submodul

module setNumber(
    output number
);

wire [15 :0] set;
assign set = 'h358;

assign number = set;

endmodule

模块已连接:

Connection between module

1 个答案:

答案 0 :(得分:0)

LED_7seg中,您必须将电汇set连接到setNumber模块的输出,您将在主模块中输入您的电话号码。

LED_7seg中,不应输入数字。那里不需要它。只需使用来自setNumber模块的电线。

记住导线宽度/注册表。

看起来应该是这样的:

module LED_7seg(
    input clk
);

wire [15 : 0] set; 

    setNumber setNumberInstance(
        .number(set)
    );

endmodule