系统verilog断言 - $ rose

时间:2016-08-04 00:15:12

标签: system-verilog formal-verification system-verilog-assertions

enter image description here我想写一个断言,它表示在下一次完成后,req应该高达4个周期。对我来说,重置时已经很高了。我怎样才能在下一个完成的事情中提出要求。assert property {$rose(done) |-> ##4 req}但我不知道它为什么不起作用。有人可以帮忙吗?

1 个答案:

答案 0 :(得分:0)

如果完成时间很高并且您想等待它下降然后再次上升,请尝试:

assert property (!done ##1 $rose(done) |-> ##4 req)

但这只是确保在完成上升沿之后req将是高四个周期。它没有说明何时发生(可能是两个周期之前,可能在完成之前甚至断言)。如果您想在完成后严格执行req上升四个周期,请尝试改为:

assert property (!done ##1 $rose(done) |-> ##4 $rose(req))