FPGA - 按钮限制

时间:2016-03-02 23:32:57

标签: constraints fpga timing

是否有任何好处/理由来应用设置&当按钮被异步断言时,将约束保持到FPGA的按钮输入?

根据我的理解,无论是否可以在触发器的设置和保持时间内按下按钮,它仍然可以发生违规。

2 个答案:

答案 0 :(得分:1)

与系统时钟相比,按钮产生非常缓慢的变化信号(0.001 ... 10Hz与几MHz相比)。应用设置/保持时间是浪费精力。只需应用一个时间忽略规则。

无论如何都需要一个适配器同步器和一个去抖动器电路。

答案 1 :(得分:0)

我同意之前的海报,按钮输入引脚不应该定时(使用false_path)。如果你想要非常安全,你应该:

  1. 为连接到按钮的输入引脚打开施密特触发器。
  2. 通过2级同步器(串联2个触发器)输入输入信号
  3. 使用电路板上的模拟电路实现去抖动,或者在同步器后使用计数器以数字形式执行此操作。