Verilog抬头看着加法器传播混乱

时间:2014-11-22 02:39:04

标签: verilog

我刚刚了解到CLA加法器对获得总和有点困惑。我看到有两个版本的p,p = a或b,p = a xor b。

如果我模拟此代码,它将给出正确的结果:

module CLA_4bit(
    output [3:0] S,
    output Cout,
    input [3:0] A,B,
    input Cin
);

wire [3:0] G,P,C;

assign G = A & B;
assign P = A ^ B;
assign C[0] = Cin;
assign C[1] = G[0] | (P[0] & C[0]);
assign C[2] = G[1] | (P[1] & G[0]) | (P[1] & P[0] & C[0]);
assign C[3] = G[2] | (P[2] & G[1]) | (P[2] & P[1] & G[0]) | (P[2] & P[1] & P[0] & C[0]);
assign Cout = G[3] | (P[3] & G[2]) | (P[3] & P[2] & G[1]) | (P[3] & P[2] & P[1] & G[0]) |(P[3] & P[2] & P[1] & P[0] & C[0]);
assign S = P ^ C;

endmodule

但是,如果我将P = A ^ B更改为P = A | B,则会给出错误的总和。要使代码与P = A | B一起使用,需要对代码进行哪些更改?

1 个答案:

答案 0 :(得分:1)

SP^C)的公式对于使用P操作计算的XOR有效。您可能知道,ORXOR操作之间真值表的唯一区别是两个位都是1。这就是为什么如果您使用S操作,OR的公式应略有不同:

S = (P & (~G)) ^ C