我有以下实体调用Quartus II下由megafunction向导创建的add_sub megafunction:
library ieee;
use ieee.std_logic_1164.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
entity fp_adder is
port(clock : in std_logic;
dataa : in STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : in STD_LOGIC_VECTOR (31 DOWNTO 0);
result : out STD_LOGIC_VECTOR (31 DOWNTO 0));
end fp_adder;
architecture fp_adder_impl of fp_adder is
begin
add: altfp_add_sub
generic map(width_exp => 8, width_man => 23)
port map(clock => clock, dataa => dataa, datab => datab,
result => result);
end fp_adder_impl;
代码合成很好但是当我启动波形来模拟它时,我总是得到一个空的结果输出。有什么我想念的吗?
答案 0 :(得分:0)
ModelSim-Altera预构建库不包含altfp_add_sub
的模型,这可能是它无法立即模拟的原因。
请参阅第27页/第4-4页的Floating-Point Megafunctions User Guide,其中对“altfp_add_sub_ex_msim.zip(ModelSim-Altera文件)”的引用可能指向您必须编译以获取仿真模型的宏功能文件altfp_add_sub
。