我如何从Spartan 6写入Nexys 3 FPGA板上的Micron外置蜂窝RAM?

时间:2013-09-17 21:59:48

标签: vhdl ram fpga xilinx

我到处寻找,数据表,Xilinx网站,挖掘机等等,找不到任何东西!我能够使用Adept工具来验证我的Cellular RAM是否正常运行,但我找不到任何库存VHDL代码作为控制器来写入数据并从中读取数据!帮助!

1 个答案:

答案 0 :(得分:3)

找到此链接,但它是针对异步模式,这不够快: http://embsi.blogspot.com/2013/01/how-to-use-cellular-ram-from-micron.html

最终在Nexys 2 Digilent页面上找到了这个: http://www.digilentinc.com/Products/Detail.cfm?Prod=NEXYS2 下 “板载内存控制器参考设计” 遗憾的是,Nexys 3的细节并未包含这些内容,因为它可以节省大量时间! 希望有这个问题的其他人至少可以找到我在这里发布的内容并快速找到它......