这些是我的声明。为什么它不识别签名类型?我导入了numeric_std库,在文档中我看到它支持signed和unsigned。这有什么不对?
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use work.my_package.all;
entity landmark_1 is
generic
(data_length :integer := 8;
address_length:integer:=3 );
port ( clk:in std_logic;
vin:in std_logic;
rst:in std_logic;
flag: in std_logic;
din: in signed(data_length -1 downto 0)
done: out std_logic
);
end landmark_1;
答案 0 :(得分:5)
尝试加入use ieee.numeric_std.all;