我在处理过程中的计数器时遇到错误。 我使用numeric_std库。这是代码的关键部分。
signal one_buf : unsigned(7 downto 0);
signal out_buf : std_logic_vector(7 downto 0);
counter_one : process(out_buf1)
variable one_buf1 : unsigned(7 downto 0) := (others => '0');
begin
for i in 0 to 7 loop
one_buf1 := one_buf1 + unsigned(out_buf(i));
end loop;
one_buf <= one_buf1;
end process counter_one;
错误消息是
错误(10305)无法将类型“std_ulogic”转换为“UNSIGNED”类型。 我不明白这里有什么问题。
提前感谢您的答案。