Modelsim:分组信号的模拟波形

时间:2019-09-17 08:09:34

标签: modelsim

我的设计中说的是std_logic_vector(31到0)。实际上,它是一个SPI命令字,包含命令,地址和数据。

我想在modelsims波形查看器中的模拟波形中查看该std_logic_vector的实际数据。

我只是将信号(19个降至4个)拖到波形查看器中并进行分组。 现在,我期望我可以右键单击该组,然后选择“格式->模拟(自动)”。但是我不能选择它,因为它是灰色的。

还有另一种方法可以从sub std_logic_vector生成模拟波形吗?

关于, 迈克

2 个答案:

答案 0 :(得分:1)

您必须使用选项Combine Signals而不是Group,然后可以右键单击并选择Format->Analog(automatic)

答案 1 :(得分:0)

如果对波形文件使用Tcl,则可以使用以下命令:

virtual signal -install /tb_top/block_inst { /tb_top/block_inst/signal_bus(7 downto 0) } combined_abc
add wave -group {Test} -group {Combined Waveforms} -radix unsigned -format Analog-Step -height 40 -max 256 /tb_top/block_inst/combined_abc

如果您已经有了波形,但是每次必须手动创建它们,则执行“文件-保存格式...”,并将其命名为wave.do或类似名称。然后,当您想调出波形“文件-加载-宏文件...”时。

可以使用通配符等简化生成的wave.do文件。