如何从用户VHDL获取多个输入

时间:2019-04-13 21:01:43

标签: vhdl fpga keypad

我想通过连接到FPGA板的GPIO引脚的键盘从用户那里读取6位数字。这是我的代码的一部分:

signal kp_value :std_logic_vector( 3 downto 0);
signal kp_hit : std_logic ; 
type arr is array (23 downto 0) of std_logic_vector;    
signal num1 : arr; 
begin 
keypad_getInput: keypad port map(row, clk_50 , col , kp_hit, kp_value);


process(kp_hit)
begin 

if kp_hit ='1' then 

    num1 <= num1 & kp_value;
end if ;
end process;
seg_display: seven_segments port map(num1,sevenseg); 

我想一直从用户那里获取数字,直到达到24位,然后将其显示在7段中的6段上

我遇到了错误,也许存储值的方式是错误的,请您帮我

1 个答案:

答案 0 :(得分:0)

您可能会丢失不想保留在num中的位,我想您想向左移

num1 <= num1(19 downto 0) & kp_value;