结构性J-K触发器

时间:2019-03-15 08:12:22

标签: compiler-errors verilog flip-flop

我已经建立了一个结构性的j-k触发器。我无法设置Q(输出)的初始值。我得到的错误是:-

错误(10663):灰色的Verilog HDL端口连接错误。v(42):必须将输出或输入端口“ q”连接到结构网络表达式

module jk_latch(j,k,qin1,clk,qout,qin2,qbar);
    input j,k,clk,qout,qbar;
    output qin1,qin2;
    nand(x,j,clk,qbar);
    nand(y,k,clk,qout);
    nand(qin1,qin2,x);
    nand(qin2,qin1,y);
endmodule

module sr_latch(s,r,clk,qut);
    input s,r,clk;
    output qut; 
    not(qbar,qut);
    nand(x,s,clk);
    nand(y,r,clk);
    nand(qut,y,qbar);
endmodule   


module jk_flip_flop(j,k,clk,q);
    input j,k,clk;
    output q;
    wire qbar;
    assign qbar = ~q;
    jk_latch f1(j,k,qi1,clk,q,qi2,qbar);
    not(nclk,clk);
    sr_latch s1(qi1,qi2,nclk,q);
endmodule

module gray(clk,q,clr);
    input clk,clr;
    output reg q;
    initial
        begin
        if(clr==1)
            q<=1'b0;
        end 

    wire j,k;
    assign j = 0;
    assign k = 0;
    jk_flip_flop(j,k,clk,q);
endmodule   

还有其他方法可以在灰色模块中分配'q'的起始值。

0 个答案:

没有答案