我正在尝试在VHDL中制作通用解码器,但是当我尝试对其进行写入时,Decoderout输出出现了问题。我收到错误Error (10028): Can't resolve multiple constant drivers for net "decoder_output[n]" at decoder_generic.vhd(20)
。我该如何解决这个问题?
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity decoder_generic is
generic(
n : positive := 4 -- amount of registers
);
port(
Decoderin : in std_logic_vector(n-1 downto 0);
Decoderout: out std_logic_vector(2**n-1 downto 0)
);
end decoder_generic;
architecture archdecoder_generic of decoder_generic is
begin
Decoderout <= (others => '0'); -- default
Decoderout(to_integer(unsigned(Decoderin))) <= '1';
end archdecoder_generic;