systemverilog中的atobin()和atohex()

时间:2018-12-12 07:55:03

标签: system-verilog

有人知道这两个功能吗? 'F'.atohex()的输出应该是0x16还是0x46(直接从ASCII表中)?我已经用谷歌搜索过,但是有人说前一个是正确的,而有人说另一个。谢谢。

2 个答案:

答案 0 :(得分:1)

实际上,结果是0xF。这些功能没有最大的名字。两者都是将特定基数的ASCII字符串转换为整数值。 atohex假定该字符串采用十六进制格式。

答案 1 :(得分:0)

来自LRM:

  

— str.atoi()返回与str中的ASCII十进制表示形式相对应的整数。

     

— atohex将字符串解释为十六进制。

     

— atooct将字符串解释为八进制。

     

— atobin将字符串解释为二进制。

     

注意:这些ASCII转换函数返回一个32位整数值

因此,结果如下:

string a = "F";
a.atohex();

一个32位整数:32'hF