我在mac上使用ghdl(vhdl的开源编译器)。 我写了这个简单的半加器组件:
library ieee;
use ieee.std_logic_1164.all;
entity ha is
port(
a: in std_logic;
b: in std_logic;
o: out std_logic;
c: out std_logic
);
end ha;
architecture behave of ha is
begin
o <= a xor b;
c <= a and b;
end behave;
当我想用下面的命令分析ha.vhdl组件(使用-a开关)时,我收到了很多关注错误:
命令:
ghdl -a ha.vhdl
错误:
ha.s:90:2: error: instruction requires: Not 64-bit mode
pushl %ebp
ha.s:103:2: error: instruction requires: Not 64-bit mode
pushl %edx
。 。