我正在编写一个测试平台,我希望能够以某种模式(如下所示)使信号变高和变低: 目前我手动输入我想要的每次:
module TestExample;
reg a, b, c;
initial begin
$dumpfile("test.vcd");
$dumpvars(0, TestExample);
# 0 a=0; b=0; c=0;
# 10 a=1; b=0; c=0;
# 20 a=0; b=1; c=0;
# 30 a=1; b=1; c=0;
# 40 a=0; b=0; c=1;
# 50 a=1; b=0; c=1;
# 60 a=0; b=1; c=1;
# 70 a=1; b=1; c=1;
# 80 a=0; b=0; c=0;
# 90 $stop;
end
endmodule
这个问题是当我获得更多信号时(比如a-z而不是a-b),每次手动输入和相关值需要很长时间。因此,我想知道是否有一种方法可以自动化信号。例如,如果我可以说你的状态每10秒钟换一次,每隔20微秒换一次b,每隔30微秒换一次c?
答案 0 :(得分:1)
正如格雷格所说......
module TestExample;
wire a, b, c, d ...
integer i;
initial begin
$dumpfile("test.vcd");
$dumpvars(0, TestExample);
for (i = 0; i < 1<<26; i=i+1)
#10;
$stop;
end
assign a = i[0], b = i[1], c = i[2], d = i[3] ...
endmodule
答案 1 :(得分:1)
对于通用模式,您可以使用多个初始块,比如每个变量一个:
initial begin
a = 0;
forever begin
#10 a = 1;
#10 a = 0;
end
end
initial
b = 1;
forever begin
#30 b = 0;
#30 b = 1;
end
end
...
initial begin
$dumpfile("test.vcd");
$dumpvars(0, TestExample);
#1000 $finish;
end