在Quartus Prime Lite中安装用于功能仿真的编译器件库的位置?

时间:2017-04-09 17:03:19

标签: vhdl quartus

我正在尝试使用Quartus中的矢量波形文件,使用仿真波形工具对我的VHDL设计进行功能仿真。但是,当我来运行模拟时,我收到以下错误:

# ** Error: ORB-SLAM.vho(31): Library maxv not found.
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# ** Error: ORB-SLAM.vho(34): (vcom-1136) Unknown identifier "MAXV".
# 
# ** Error: ORB-SLAM.vho(36): VHDL Compiler exiting
# End time: 12:57:23 on Apr 09,2017, Elapsed time: 0:00:00
# Errors: 3, Warnings: 0
# ** Error: C:/Modeltech_pe_edu_10.4a/win32pe_edu/vcom failed.
# Executing ONERROR command at macro ./ORB-SLAM.do line 3

Error.

我相信这可能与Modelsim(安装在我的计算机上)或Quartus没有为我正在尝试模拟的设备安装正确的库有关 - 在这种情况下是MAXV。我有从Altera网站上下载的所有设备的一些.qdz文件,我已经将它们安装在Quartus中,所以我强烈怀疑我需要使用Quartus的EDA Simulation Library Compiler为Modelsim编译这些文件,除非我不知道知道在哪里输出它们以使其工作。任何帮助将不胜感激!

1 个答案:

答案 0 :(得分:2)

我怀疑你需要在Modelsim中为MAXV编译库,就像编译任何其他VHDL一样(即不需要特殊工具)。以下是我们的一个培训课程的说明,这些课程用于编译 Cyclone IV(非MAXV)。我可以根据MAXV进行调整,但这会让我做一些研究,你可以这样做:

  

要模拟门级网表,您需要编译   在ModelSim中生成仿真模型。这引用了库   称为

altera
     

cycloneive
     

如果您使用的是ModelSim Altera版,则预编译版本   提供了库,因此您可以错过此步骤。如果你是   使用任何其他版本的Modelsim,您将需要编译这些   图书馆自己:

     

创建一个名为altera的新库。   将以下源文件编译到此库中,您可以在Quartus的quartus / eda / sim_lib目录中找到它们   安装。

 altera_primitives_components.vhd
 altera_primitives.vhd
     

创建另一个名为cycloneive的新库。

     

将以下源文件编译到此库中,您也可以在Quartus的quartus/eda/sim_lib目录中找到它们   安装。

cycloneive_atoms.vhd
cycloneive_components.vhd