VHDL with-select和“AND”

时间:2017-03-26 22:30:14

标签: vhdl

我写了一个代码,我在定义if语句时遇到了问题

<button>First Button</button>
<button>Second Button</button>
<button>Third Button</button>

- 这是我的问题 - 我想要做的是输入0000和模式0然后是0001

1 个答案:

答案 0 :(得分:0)

with.. select不是if语句。但无论如何。谷歌一下! vhdl with select

符号;结束语句。它在错误的地方。

当您使用两个输入进行选择时,您必须在使用select之前将它们连接起来。 因此:

    signal select_input : std_logic_vector(4 downto 0);
begin
    select_input <= state & mode;
    with select_input select state_next <=
        "0001" when "00000",
        "0010" when "00010",
        "0011" when "00100",
        "0100" when "00110",
        "0101" when "01000",
        "0110" when "01011",
        "0111" when "01101",
        "1000" when "01111",
        "1001" when "10001",
        "0000" when others; -- required!