VHDL与错误时选择

时间:2014-02-05 21:19:08

标签: vhdl

VHDL是设计最差的语言,语法最差,我遇到过。 为什么这个with-select-when代码会给我一个错误?:

  library ieee;
use ieee.std_logic_1164.all;

entity mux48 is
port(
   mux48dv0:in std_logic_vector(7 downto 0);
   mux48dv1:in std_logic_vector(7 downto 0);
   mux48dv2:in std_logic_vector(7 downto 0);
   mux48dv3:in std_logic_vector(7 downto 0);
   mux48sv:in std_logic_vector(3 downto 0);
   mux48ov:out std_logic_vector(7 downto 0)
);
end mux48;

architectre mux48_df of mux48 is
begin
    with mux48sv select
    mux48ov <= mux48dv0 when "0000",
        <= mux48dv1 when "0001",
        <= mux48dv2 when "0010",
        <= mux48dv3 when "0011",
        <= mux48dv0 when "0100",
        <= mux48dv1 when "0101",
        <= mux48dv2 when "0110",
        <= mux48dv3 when "0111",
        <= mux48dv0 when "1000",
        <= mux48dv1 when "1001",
        <= mux48dv2 when "1010",
        <= mux48dv3 when "1011",
        <= mux48dv0 when "1100",
        <= mux48dv1 when "1101",
        <= mux48dv2 when "1110",
        <= mux48dv3 when "1111";
end mux48_df;

错误:

** Error: C:/Modeltech_pe_edu_10.3/Lab3/mux48.vhd(15): near "architectre": syntax error

1 个答案:

答案 0 :(得分:1)

您有几个语法错误:分配应该看起来像

with mux48sv select
   mux48ov <= mux48dv0 when "0000",
              mux48dv1 when "0001",
              ...
              mux48dv3 when others;