这是否允许在Xilinx ISE中进行:generic map (record1.field1'length)
?
以前我已定义记录:
type test_record is record
field1 : std_logic_vector(31 downto 0);
field2 : std_logic_vector(31 downto 0);
end record;
constant record1 : test_record := ((others => '0'),(others => '0'));
我收到此错误消息:
与泛型关联的实际值(属性名称)必须是全局静态表达式。