如何在verilog中实现randomize()?

时间:2016-07-27 14:44:05

标签: verilog

我有ModelSim,因此我无法在代码中使用randomize。

我必须在事务类(扩展uvm_sequence_item)上实现randomize()。我怎样才能在verilog中做到?

1 个答案:

答案 0 :(得分:0)

你可以尝试$ random。该函数基于verilog,可能适用于modelsim。

q = $random(r_seed);
or
q = $random(); //seed is optional 

另外得到10-110(范围)之间的随机值

q = {$random} % (110-10+1) + 10;

或尝试使用

$urandom_range(110,10);
$urandom();

这些是基本的随机化结构。您必须从这些中获得约束。