SystemVerilog随机稳定性是否适用于std :: randomize()?

时间:2014-04-25 16:57:51

标签: random system-verilog

SystemVerilog随机稳定性是否适用于std::randomize()

换句话说,使用std::randomize()获取给定特定种子的确定性模拟结果是否安全?

IEEE Std 1800-2012提到以下随机稳定性:

Random stability applies to the following:
— The system randomization calls, $urandom() and $urandom_range()
— The object and process random seeding method, srandom()
— The object randomization method, randomize()

上述陈述在对象上下文中提到randomize()。但是,目前还不清楚这是否适用于独立的非对象std::randomize()方法。

1 个答案:

答案 0 :(得分:1)

std::randomize() randomize()范围std

IEEE Std 1800-2012§18.12范围变量的随机化-std :: randomize()

  

范围randomize函数的行为与类randomize方法完全相同,除了它对当前作用域的变量而不是类成员变量进行操作。此函数的参数指定要为随机值分配的变量,即随机变量。