我正在做一个设计的合成后仿真,我有一个测试平台和verilog代码和综合脚本..它给了我verilog网表文件。 我能够看到预合成模拟 - 波形和终端上的$ monitor值转储。同样的$ monitor值转储也告诉我后期综合模拟工作正常。
但是我无法看到后合成波形
我正在通过我的测试平台转储.vcd文件,以便通过$ monitor查看模拟波形。
TOOL:综合设计愿景 NCVerilog用于编译
`timescale 1ns / 10 ps
module CLA_16_4_tb ();
reg [15:0] A=0, B=0 ;
wire [15:0] Sum;
wire Cout;
reg reset,clock;
initial begin : A_TB
A = 0;
#10 A = 16'h00FF;
#30 A = 16'h0000;
#30 A = 16'h80FF;
#30 A = 16'h0000;
#30 A = 16'h00FF;
#30 A = 16'h0000;
#30 A = 16'h1111;
end
initial begin : B_TB
B = 0;
#10 B = 16'hFF01;
#30 B = 16'h0000;
#30 B = 16'h8080;
#30 B = 16'h0000;
#30 B = 16'hFF80;
#30 B = 16'h0000;
#30 B = 16'h2222;
end
initial begin : reset_TB
reset = 0;
#2 reset = 1;
#5 reset = 0;
#55 reset = 1;
#5 reset = 0;
#55 reset = 1;
#5 reset = 0;
#55 reset = 1;
#5 reset = 0;
#45 $finish;
end
initial begin : clock_TB
clock = 0;
#5 clock = 1;
forever #5 clock = ~clock;
end
CLA_16_4 U1 (A, B, Sum, Cout, clock, reset);
initial begin
$monitor("TIME :",$time," HEX VALUES : a_inp = %h b_inp = %h s_out = %h c_out = %h",A,B,Sum,Cout);
end
initial begin
$dumpfile("CLA_16_4_tb.vcd");
$dumpvars(0,CLA_16_4_tb);
end
endmodule
答案 0 :(得分:0)
You might be missing the +access+rwc in the ncverilog command line when you are running the post-synthesis netlist simulation and hence may not be seeing the signals in the waveform dump.