SystemVerilog

时间:2016-06-29 17:06:59

标签: constraints verilog system-verilog

我试图在系统verilog中随机化3个不同的变量,但是以循环方式。我的意思是,我有以下3个变量

rand int a;
rand int b;
rand int c;

constraint c_a{
  a inside {1,2};     
}
constraint c_b{
  b inside {1,2,3,4,5,6};
}
constraint c_c{
  c inside {1,2,3}
}

根据上述约束条件,所有3个变量(2x6x3)共有36种组合。

但如果我们运行36的循环,就像这样:

repeat(36) begin
  this.randomize(a,b,c);
  $display("%d   %d   %d", a,b,c);
end

我们不会碰到所有可能的组合,因为某些组合可能会重复。因此,我希望找到一种通过正确运行循环36次来击中所有组合的方法。

我通过声明另一个rand变量来表示每个组合并使用randc就这样写了一个蛮力的方法:

int a;
int b;
int c;
randc int k;

constraint c_k{
  k inside {[1:36]};
}

repeat(36) begin
  this.randomize(k);
  // randomizing variable 'a' to one of the 2 values.
  if(k<9)
    a = 1;
  else
    a = 2;
  // randomizing variable 'b' to one of the 6 values.
  case(k)
    1,2,3,19,20,21 : b = 1;
    4,5,6,22,23,24 : b = 2;
    7,8,9,25,26,27 : b = 3;
    //
    //   finishing the sequence
    // 
  endcase  

  case(k)
     // similar case statement for the final variable
  endcase

  $display("%d, %d, %d", a,b,c);
end

上面的方法很好,但对我来说这似乎是一种忙乱的方式(也不适用于大型组合),因此想知道是否有更优雅的方法来实现这一点。

谢谢你的帮助。

1 个答案:

答案 0 :(得分:4)

您可以做的是将变量连接到压缩结构中,并使其成为 randc 变量。

module top;
class A;
    typedef struct packed {
    bit [1:0]   a;
    bit [2:0]   b;
    bit [1:0]   c;
    } abc_t;
randc abc_t k;
constraint c_a{
  k.a inside {1,2};     
}
constraint c_b{
  k.b inside {1,2,3,4,5,6};
}
constraint c_c{
  k.c inside {1,2,3};
}
endclass
   A h = new;
   initial 
     repeat(40) begin
       h.randomize();
       $display("%0p",h.k);
     end
endmodule

请注意,randc变量允许的总位数可能会受到模拟器的限制