如何在verilog中实现动态大小的FIFO

时间:2016-05-03 03:18:35

标签: dynamic verilog fifo

假设我想要一个能做类似这样的事情的FIFO:

local_max_fifo local_max_save
(
    .clk (clk),
    .rst (rst),
    .din (local_max_in),
    .dout (local_max_out),
    .wr_en (local_max_write_data),
    .rd_en (local_max_read_data)
);

有没有办法做到这一点,以便项目中的所有FIFO使用相同的固定大小的内存池?所以我的项目可能有10个FIFO,但是所有10个FIFO都使用相同的内存池

1 个答案:

答案 0 :(得分:0)

至少根据我的理解,你的问题不够明确。

如果您要完成的是使用相同的物理资源(“THE FIFO”)进行10种不同的计算,您可以使用多路复用,因为知道来自未选定通道的任何数据都将丢失。如果这是你想要做的;使用带多路复用器的控制线应该允许您使用相同的物理FIFO。我不确定这是不是你想要的。