声明在VHDL中具有可变大小的std_logic_vector

时间:2016-01-09 14:40:18

标签: vhdl

在使用vhdl语言的结构描述中,我想要对具有可变大小的std_logic_vector进行decalare。此大小由相同结构中的另一个组件决定。我该怎么办?

2 个答案:

答案 0 :(得分:0)

创建packageconstant分配到所需的长度,并在constantcomponent上声明端口长度时使用此std_logic_vector在结构代码中。这样长度就会自动匹配。

答案 1 :(得分:0)

我会使用generic。通用参数在实体声明之外指定。模拟器和合成器也很好地支持它们。