我正在为2位寄存器编写代码和测试平台,但在我的测试平台上,当我运行测试平台的模拟时,我的断言报告声明没有显示在控制台中。我正在使用Modelsim PE学生版本10.4a,我运行模拟100 ns。 这是测试台和控制台图像 请帮助。提前谢谢。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_textio.all;
use std.textio.all;
entity reg_TB is -- entity declaration
end reg_TB;
------------------------------------------------------------------
architecture TB of reg_TB is
component reg
port( I: in std_logic_vector(1 downto 0);
clock: in std_logic;
load: in std_logic;
clear: in std_logic;
Q: out std_logic_vector(1 downto 0)
);
end component;
signal T_I: std_logic_vector(1 downto 0);
signal T_clock: std_logic;
signal T_load: std_logic;
signal T_clear: std_logic;
signal T_Q: std_logic_vector(1 downto 0);
begin
U_reg: reg port map (T_I, T_clock, T_load, T_clear, T_Q);
-- concurrent process to offer the clock signal
process
begin
T_clock <= '0';
wait for 5 ns;
T_clock <= '1';
wait for 5 ns;
end process;
process
variable err_cnt: integer :=0;
begin
T_I <= "10";
T_load <= '0';
T_clear <= '1';
-- case 1
wait for 20 ns;
T_load <= '1';
wait for 10 ns;
assert (T_Q="10") report "Test1 Failed!" severity error;
if (T_Q/=T_I) then
err_cnt := err_cnt+1;
end if;
-- case 2
wait for 10 ns;
T_load <= '0';
wait for 10 ns;
assert (T_Q="10") report "Test2 Failed!" severity error;
if (T_Q/=T_I) then
err_cnt := err_cnt+1;
end if;
-- case 3
wait for 10 ns;
T_clear <= '0';
wait for 10 ns;
assert (T_Q="00") report "Test3 Failed!" severity error;
if (T_Q/=T_I) then
err_cnt := err_cnt+1;
end if;
-- case 4
wait for 10 ns;
T_clear <= '1';
wait for 10 ns;
assert (T_Q="00") report "Test4 Failed!" severity error;
if (T_Q/=T_I) then
err_cnt := err_cnt+1;
end if;
-- summary of all the tests
if (err_cnt=0) then
assert false
report "Testbench of register completely successfully!"
severity note;
else
assert true
report "Something wrong, check again pls!"
severity error;
end if;
wait;
end process;
end TB;
------------------------------------------------------------------
configuration CFG_TB of reg_TB is
for TB
end for;
end CFG_TB;
------------------------------------------------------------------
答案 0 :(得分:1)
所有报告都基于断言,如果断言没有失败,则不会打印报告。另请注意,每个测试中的断言与递增err_cnt
的条件不同,因此您可能会在没有打印的情况下多次失败(因为断言没有失败)但仍然进入“失败”部分最后,由于assert true
永远不会失败,你将无法打印。
尝试将“report”和“severity”子句添加到测试本身的相关检查中,并查看是否有任何打印内容。而且,如果测试失败,你可以删除最终检查中的断言,如你所知(!)。
例如:
...
-- case 3
wait for 10 ns;
T_clear <= '0';
wait for 10 ns;
if (T_Q/=T_I) then
err_cnt := err_cnt+1;
report "Test3 Failed!" severity error;
end if;
...
if (err_cnt=0) then
report "Testbench of register completely successfully!"
severity note;
else
report "Something wrong, check again pls!"
severity error;
end if;
答案 1 :(得分:0)
如果您在每个测试用例中的if语句之后立即为err_cnt撒上报告语句:
if (T_Q/=T_I) then
err_cnt := err_cnt+1;
end if;
report "err_cont = " &integer'image(err_cnt);
您会发现测试用例3和测试用例4在不失败的情况下增加err_cnt:
reg_tb.vhdl:93:5:@ 30ns :(报告单):err_cont = 0
reg_tb.vhdl:102:5:@ 50ns :(报告单):err_cont = 0
reg_tb.vhdl:111:5:@ 70ns :(报告单):err_cont = 1
reg_tb.vhdl:120:5:@ 90ns :(报告说明):err_cont = 2
时间戳显示为测试用例1 - 4,err_cnt是一个变量,因此它在最后两个中递增。
这阻止了第一次报告:
-- summary of all the tests
if (err_cnt=0) then
assert false
report "Testbench of register completely successfully!"
severity note;
else
assert true
report "Something wrong, check again pls!"
severity error;
end if;
正如Brian所评论的那样,断言true永远不会是假的,你不会在摘要中执行第二个报告语句。
正如MbyD所指出的那样,你在断言的内容与你认为错误的错误之间存在差异,并且if语句条件对于案例3和4无效: