vhdl中端口映射的问题

时间:2015-10-18 18:05:51

标签: vhdl fpga

我正在使用modelsim在vhdl中进行bo / bc大学任务,并且在我的操作块中我需要端口映射我的一些组件(寄存器,加法器和比较器)但是当我将所有文件编译在一起时,所有这三个组件都可以正确编译,但是不要,编译器会告诉我所有这三个组件:"标识符" registrador"不识别组件声明。"例如。 继承我的博码:

https://goo.gl/oNFnd8

感谢您的帮助!

1 个答案:

答案 0 :(得分:3)

由于您尚未声明组件,因此您可能会尝试使用直接实体实例化。其语法不是:

reg : registrador port map (...);

相反,它是:

reg : entity work.registrador port map (...);

(假设您已经将“registrador”编译成了库工作。如果您将其编译到另一个库中,请替换该库的名称。)