为什么我的VHDL乘法器没有输出?

时间:2015-05-21 15:50:36

标签: vhdl xilinx

我正在尝试制作一个4位乘法器。这是我的顶级设计: enter image description here

以下是两个模块: enter image description here enter image description here

然而,当我尝试模拟这个时,我没有输出。我的测试平台:

    ARCHITECTURE behavior OF sim3 IS 

    -- Component Declaration for the Unit Under Test (UUT)

    COMPONENT multiplicator
    PORT(
         a : IN  std_logic_vector(3 downto 0);
         b : IN  std_logic_vector(3 downto 0);
         reset : IN  std_logic;
         clk : IN  std_logic;
         start : IN  std_logic;
         prod : OUT  std_logic_vector(7 downto 0);
         ready : OUT  std_logic
        );
    END COMPONENT;


   --Inputs
   signal a : std_logic_vector(3 downto 0) := (others => '0');
   signal b : std_logic_vector(3 downto 0) := (others => '0');
   signal reset : std_logic := '0';
   signal clk : std_logic := '0';
   signal start : std_logic := '0';

    --Outputs
   signal prod : std_logic_vector(7 downto 0);
   signal ready : std_logic;

   -- Clock period definitions
   constant clk_period : time := 10 ns;

BEGIN

    -- Instantiate the Unit Under Test (UUT)
   uut: multiplicator PORT MAP (
          a => a,
          b => b,
          reset => reset,
          clk => clk,
          start => start,
          prod => prod,
          ready => ready
        );

   -- Clock process definitions
   clk_process :process
   begin
        clk <= '0';
        wait for clk_period/2;
        clk <= '1';
        wait for clk_period/2;
   end process;


   -- Stimulus process
   stim_proc: process
   begin        
        wait for clk_period;
        reset<='1';
        wait for clk_period;
        reset<='0';
        a<="0011";
        b<="0010";
        start <='1';
        wait for clk_period*10;
   end process;

END;

当我将开始设置为&#39; 1&#39;模拟停止了。我不知道为什么。我得到了以下错误:

ERROR: at 20 ns(10000): Iteration limit 10000 is reached. Possible zero delay oscillation detected where simulation can not advance in time because signals can not resolve to a stable value in File "D:/faculta/PL II/multiplicator/reg8.vhd" Line 45. Please correct this code in order to advance past the current simulation time.

enter image description here

我不知道该行可能出现的问题:

q_s <= "00000000" WHEN reset='1' ELSE d WHEN reset='0' and load='1' ELSE q_s;

请帮忙吗?

1 个答案:

答案 0 :(得分:1)

不要使用带负载使能的寄存器,使用时钟边沿寄存器。迭代限制是ISIM中的增量循环限制。介绍&#39; 1&#39;在b中,你得到一个引人注目的振荡器,一个具有三角形循环延迟和反转(求和)的循环。使num4,reg4和reg8时钟边沿用它们的负载驱动为启用,这似乎与Lab10兼容,后者显示时钟的使用(以及@scary_jeff的VHDL源,尽管用BIT类型而不是std_logic表示)。在第4章中,William Kafig的书 VHDL 101您需要了解的所有内容中提到了这种反馈现象。

谷歌翻译有帮助。似乎没有人为他们的任务提供他们的讲义。

如果您查看q_sreg4的作业的原始实施:

block1: 
    block (ck = '1' and not ck'stable)
    begin
        q_s <= guarded "0000" when reset = '1' else
                           d  when reset = '0' and load = '1' else
                         q_s;
    end block block1;

我将其转换为可综合的流程语句而不是块语句,明确reg4(和reg8)是一个时钟寄存器:

block1: 
    process (ck)
    begin
        if rising_edge(ck) then 
            if reset = '1' then
                q_s <= (others => '0');
            elsif load = '1' then
                q_s <= d;
            end if;
        end if;
    end process;

原始工作的原因是因为块语句可以有一个保护语句。

此更改表明q_s是具有同步复位的时钟寄存器。

您还可能会注意到我们不再引用q_s并且可以直接指定q。

在控制状态机中,同样可以更新将next_state分配给current_state的过程:

    process (ck)
    begin
        if ck'event and ck = '1' then  -- or rising_edge(ck)
            current_state <= next_state;
        end if;
    end process;

只是为了便于阅读。使用not ck'stable表单来表示时钟事件并不常见,并指出您似乎也错过了实施reg8的含义,可能在reg4和{{1}也是。

IEEE Std 1076.6-2004中演示了作为边缘敏感时钟的保护表达式的综合合格性, 6.1.3.6使用受保护块的边缘敏感存储。