VHDL中的方波生成

时间:2015-04-29 13:32:16

标签: vhdl xilinx-ise

我正在使用VHDL进行秒表项目,但我不知道如何制作计数器的CLK方波?请帮忙。

这是我的代码:

MySQL

0 个答案:

没有答案