我正在尝试在带有Xilinx Vivado的Virtex 7上的SystemVerilog中实现QAM调制器,而我仍然坚持生成本地振荡器的sin和cos。
更具体地说,我输入了I和Q信号(每个3位),我必须分别将它们与余弦和正弦波相乘。乘法工作正常,但我需要一个IP来产生余弦和正弦频率。
为此目的,我已经深入阅读了以下链接提供的DDS Compiler v6.0的文档,但我仍然感到困惑: http://www.xilinx.com/support/documentation/ip_documentation/dds_compiler/v6_0/pg141-dds-compiler.pdf
有没有人有任何建议或示例代码可以帮助我?
我提前感谢你
请在下面找到一些截图和我的示例代码。我不明白为什么sin / cos采用这些“奇怪”的价值观。我是否正确使用了dds_compiler?
截图和Vivado项目(我还没有直接发布的权限):https://www.dropbox.com/s/xi5hralr2klk37s/dds_compiler.zip?dl=0
modulator.sv:
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 31.03.2015 07:41:17
// Design Name:
// Module Name: modulator
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module modulator(
input logic clk,
input logic [2:0] I,
input logic [2:0] Q,
output logic [18:0] p1,
output logic [18:0] p2,
output logic tvalid
);
// internal signals
logic [15:0] sin,cos;
// carrier generation
dds_compiler_0 dds_compiler_0_inst(
.aclk(clk),
.m_axis_data_tdata({sin,cos}),
.m_axis_data_tvalid(tvalid)
);
// multiplier
mult_gen_0 mult_gen_0_inst_1(
.CLK(clk),
.A(I),
.B(cos),
.P(p1)
);
mult_gen_0 mult_gen_0_inst_2(
.CLK(clk),
.A(Q),
.B(sin),
.P(p2)
);
endmodule
modulator_testbench.sv:
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 31.03.2015 07:41:17
// Design Name:
// Module Name: modulator_testbench
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module modulator_testbench();
// test signals
logic clk;
logic [2:0] I, Q;
logic [18:0] p1,p2;
logic tvalid;
// generate clock
always begin clk=1; #5; clk=0; #5; end
// instantiate dut
modulator dut(
.clk(clk),
.I(I),
.Q(Q),
.p1(p1),
.p2(p2),
.tvalid(tvalid)
);
// start simulation
initial begin
#65;
I=3'd1; Q=3'd1; #10;
I=-3'd1; Q=3'd1; #10;
I=3'd3; Q=-3'd3; #10;
I=-3'd3; Q=-3'd1; #10;
I=3'd1; Q=-3'd1; #10;
end
endmodule
答案 0 :(得分:0)
感谢dieli的评论,一切都很顺利。我在这里总结一下,以防它可以帮助别人:
每个时钟周期都会添加设定的相位增量(" 1100"在我的情况下)。因此,由于我使用的是16位总线,因此对于一个正弦/余弦,我需要大约5461个时钟周期。然后,我们可以使用数据表中给出的公式轻松计算输出频率。 要使用Vivado查看sin / cos波,右键单击sin / cos信号并选择波形样式'然后'模拟' (确保运行模拟足够的时间。)