你如何使vhdl计数器可以计算在十分之一毫秒?

时间:2015-03-13 08:24:50

标签: vhdl clock

我正在使用VHDL中的IR解码器,我知道IR 1位的宽度为1.2 ms,IR 0位为0.6 ms,起始位为2.5 ms。我正在尝试制作一个接收50MHz时钟并转换为十分之一毫秒的计数器。我怎样才能做到这一点?

entity counter is
    Port ( EN : in  STD_LOGIC;
           RESET : in  STD_LOGIC;
           CLK : in  STD_LOGIC;
           COUNT : out  STD_LOGIC_VECTOR (4 downto 0));
end counter;

architecture Behavioral of counter is

constant max_count : integer := (2);  
begin
   startCounter: process(EN, RESET, CLK)
      variable cnt : integer := 0;
      variable div_cnt : integer := 0;
   begin

      if (RESET = '1') then
         cnt := 0;
         div_cnt := 0;
      elsif (EN = '1' and rising_edge(CLK)) then
         if (cnt = max_count) then
            cnt := 0;
            div_cnt:= div_cnt + 1;
         else
            cnt := cnt + 1;
         end if;
      end if;
      COUNT <= conv_std_logic_vector(cnt, 5);
--      COUNT <= temp_count(16 downto 13);

   end process startCounter;
end Behavioral;

1 个答案:

答案 0 :(得分:1)

由于您具有50 MHz时钟并且想要生成0.1毫秒脉冲,因此您可以使用ieee库math_real来计算50 MHz时钟的数量,以创建0.1毫秒脉冲。这是一段代码片段。

library ieee;
use     ieee.math_real.all;

-- omitting for clarity...

-- generate one clk cycle pulse with period of 0.1 msec
gen_0p1mspulse_p : process(Clk)
    constant CLK_PERIOD     : real := 1/50e6;
    constant PULSE_PERIOD   : real := 0.1e-3;
    constant MAX_CNT        : integer := INTEGER(PULSE_PERIOD/CLK_PERIOD);
    variable cnt            : integer range 0 to MAX_CNT-1 := 0;
begin
    if rising_edge(Clk) then
        if reset = '1' then
            cnt := 0;
            pulse_0p1msec <= '0';            
        else
            pulse_0p1msec <= '0';  -- default value
            if cnt < MAX_CNT-1 then
                cnt := cnt + 1;
            else
                cnt := 0;
                pulse_0p1msec <= '1';
            end if;
        end if;
    end if;
end process;

-- logic using 0.1 msec pulse
your_logic_p : process(Clk)
begin
    if rising_edge(Clk) then
        if reset = '1' then
            your_cnt := 0;
        else
            if pulse_0p1msec = '1' then
                -- insert your logic here
            end if;
        end if;        
    end if;
end process;

我喜欢拆分我的VHDL流程,以便缩短它们。我也更喜欢使用同步复位和启用,因为它们可以为Xilinx FPGA合成更少的硬件以及以更高的时钟速率运行。希望能解决您的问题。