如何将值传递给`define N.

时间:2015-01-05 12:53:49

标签: verilog

我正在使用iverilog模拟器,我想在编译期间将值传递给N.我需要使用哪个命令,任何人都可以帮助我使用`define。

`define N

module Nbcd(A, B ,S);
 input [N*4-1:0] A,B;
 output[N*4-1:0] S;

genvar i;
  generate
   for(i=0; i<=N-1; i=i+1)
      bcd (.A(A[4*i+3:i*4]),
           .B(B[4*i+3:i*4]).
           .S(S[4*i+3:i*4])
          );
  endgenerate
endmodule

测试平台

module Nbcd();
  reg [N*4-1:0] A,B;
  wire [N*4-1:0] S;
  integer i;

  Nbcd U1 (.A(A),.B(B),.S(S));

  initial begin
    for (i=o; i<=N-1; i=i+1)
      begin
        A=i;
        b=i+1;        
    end
endmodule  

1 个答案:

答案 0 :(得分:4)

我相信大多数模拟器都允许使用-define命令行选项。

irun test.sv -define N=0

iverilog使用-Dkey=value

略有不同
-DN=0

用法

要使用`define(tick定义),您需要在其前面打勾,因此当您想使用命令行提供的值时使用`N,例如:

module Nbcd(A, B ,S);
 input [`N*4-1:0] A,B;
 output[`N*4-1:0] S;

我有working example on EDA Playground,iverilog版本0.9.7。该值通过命令行-DN=10提供,并使用$display("N : %d", `N);在模拟中显示该值。

提示

您可能还想添加以下代码,以便它可以在没有命令行选项的情况下运行,或者提醒用户需要它:

`ifndef N
  `define N 0
`endif