使用VHDL中另一个文件中的实体

时间:2014-10-09 21:46:31

标签: vhdl

如何在VHDL中从工作空间“包含”另一个文件,然后使用在另一个文件中实现的实体的架构?这是我所拥有的,但它是不对的:

更新代码:

library ieee;
use ieee.std_logic_1164.all;
library Part2;
use Part2.all;


entity problem4Gates is
    port(X,Clk: in std_logic; Q: out std_logic_vector(2 downto 0)) ;
end entity problem4Gates;  

architecture behavioral OF problem4Gates IS  

for all: yourGateName use entity  Part2.JKflipFlop(jkFF); --port (J, K, Clk, Preset, Clear : in std_logic; Q, Qn : Buffer std_logic) --JKflipFlop --jkFF    
signal s0, ns0, s1, ns1, s2, na2, ps0, ps1, ps2, restart : std_logic :='0';
begin
    process(clk)
    begin                  
         yourgatename( ns0, clk, '0', restart, Q(0), ns0 );
    end process;
end architecture behavioral;

我现在得到2个错误:

# Error: COMP96_0078: Part3.vhd : (13, 10): Unknown identifier "yourGateName".
# Error: COMP96_0134: Part3.vhd : (13, 10): Cannot find component declaration.

1 个答案:

答案 0 :(得分:4)

  

如何包含""来自VHDL中工作空间的另一个文件,然后使用在另一个文件中实现的实体的架构?

你没有"包含文件"。 VHDL不是C.

如果您编译特定实体的多个不同体系结构,它们都可以在一个文件中,甚至与实体相同的文件,或者它们可以分散在多个文件中。

然后您可以使用它们 - 最简单的方法是直接实例化。在更高级别的架构中,您可以:

inst_of_one_arch     : entity work.some_entity(one_arch) port map....
inst_of_another_arch : entity work.some_entity(another_arch) port map....

还有其他方法,但它们可能会有很多麻烦。