verilog实例化多个寄存器

时间:2014-10-09 13:00:21

标签: parameters module verilog flip-flop

我写了一个这样的8位寄存器模块:

module ff_8 #(
     parameter q0=0
)(
    input clk,
    input rst_n,
    input enable,
    input [7:0] d,
    output reg[7:0] q,
    );

always @ (posedge clk)
if (!rst_n) begin
    q <= q0;
end else if(enable) begin
    q <= d;
end

endmodule

我怎样才能有多个(128个)ff_8实例,每个实例都有不同的q0参数,而不必编写128次相同的代码?

1 个答案:

答案 0 :(得分:0)

在SystemVerilog中,您可以使用以下内容为整个寄存器阵列创建一个模块:

module flop_array #(
    parameter int unsigned depth = 128,
    parameter int unsigned width = 8,
    parameter bit [width-1:0] q0[depth] = '{default:0}
)(
    input clk,
    input rst_n,
    input enable,
    input [width-1:0] d[depth],
    output logic [width-1:0] q[depth]
);

always_ff @(posedge clk)
if (!rst_n) begin
    q <= q0;
end else if(enable) begin
    q <= d;
end

endmodule