凿子既不用于verilog也不用于C ++

时间:2013-11-16 14:58:16

标签: scala hardware digital-logic chisel

对于以下片段,Chisel无合成:

import Chisel._
import Node._
import scala.collection.mutable.HashMap

class PseudoLRU(val num_ways: Int) extends Module
{

    val num_levels = log2Up(num_ways)

    val io = new Bundle {
        val last_used_cline = UInt(INPUT,  width = num_levels)
        val update_state    = Bool(INPUT)
    }

    val state = Reg(Vec.fill(num_ways-1){Bool()})

    private val msb = num_levels - 1

    when (io.update_state) {

        // process level 0
        state(0) := !io.last_used_cline(msb, msb) // get the most significant bit

        // process other levels
        for (level <- 1 until num_levels) {
             val offset = UInt((1 << level) - 1, width = log2Up(num_ways-1))
             val bit_index = io.last_used_cline(msb, msb - level + 1) + offset
             val pos = msb - level
             val bit = io.last_used_cline(pos, pos)
             state(bit_index) := !bit
        }
    }
}

object test_driver {
    def main(args: Array[String]): Unit = {
        val plru_inst  = () => Module(new PseudoLRU(num_ways = 16))
        chiselMain(args, plru_inst)

    }
}

如果手动展开循环(级别&lt; - 1直到num_levels)并折叠常量,则会出现相同的行为:

when (io.update_state) {
    state(                                            0  ) := !io.last_used_cline(3, 3)
    state( io.last_used_cline(3, 3) + UInt(1, width = 3) ) := !io.last_used_cline(2, 2)
    state( io.last_used_cline(3, 2) + UInt(3, width = 3) ) := !io.last_used_cline(1, 1)
    state( io.last_used_cline(3, 1) + UInt(7, width = 3) ) := !io.last_used_cline(0, 0)
}

两个片段的生成的verilog(和类似的C ++代码)(原始和未展开/实例化为16种方式):

module PseudoLRU(input reset,
    input [3:0] io_last_used_cline,
    input  io_update_state
);

  wire T0;
  wire[151:0] T1;

  assign T0 = ! reset;
endmodule

不明白为什么只有虚拟结构 我该怎么做来强制合成逻辑?谢谢!

1 个答案:

答案 0 :(得分:3)

如果没有连接任何东西,凿子会积极地修剪你设计中的信号。

在您的情况下,您似乎没有来自PsuedoLRU的OUTPUTS,只有输入&#34; last_used_cline&#34;和&#34; update_state&#34;。它就像在C / C ++中编写一个函数而没有用函数的结果做任何事情。

现在在C中,您可以将变量标记为&#34; volatile&#34;欺骗编译器保持你的代码(你承诺其他人将使用该变量的值)。在Chisel中,您可以使用&#34; debug()&#34;迫使信号存在。

我相信强制你的Vec Vec存在,你可以这样做:

for (i <- 0 until num_ways)
    debug(state(i))