制作一个简单的电路,以VHDL的方式耗散功率

时间:2012-08-17 01:43:54

标签: vhdl xilinx circuit virtex

我正在寻找能够用来测量功率的简单写法的想法。我只是需要它来确保我的功率测量工作正常。我在Virtex-6上使用Xilinx ISE 14.1。我想要一个简单的电路来编写和合成。

到目前为止,我尝试了1K位计数器,但这并不是很明显。我尝试了一个9K位计数器,但是ISE在合成它时遇到了麻烦(我让它运行了一个小时才杀死它)。现在我正在尝试实现大型BRAM并使其永久保持启用状态。

我需要一种限制大型矢量进行优化的方法,因此我想将所有位合并在一起并将单位输出馈送到LED。对于非常大的向量,有一种简单的方法吗?

1 个答案:

答案 0 :(得分:0)

这是我想出的。我觉得它为简单的代码和快速的编译时间提供了一个很好的折衷方案。它是一个移位器,每隔一个位高,因此每个FF应该在每个时钟周期切换(在设置之后)。如果需要,可以在开始时初始化信号,但是根据您的时钟,它不应该花费超过一秒或两秒来达到平衡。我使用LED作为输出来阻止电路的优化。

architecture Behavioral of top is
signal shifter : std_logic_vector(<insert size> downto 0) := (others => '0');
begin    
        process(clk)
    begin
        if(clk'event and clk = '1')then
            shift_bit <= not shift_bit;
                shifter <= shift_bit & shifter(shifter'high downto 1);
        end if;
    end process;

led <= shifter(0);
end Behavioral;