如何在VHDL中将实际数据类型写入文件?

时间:2011-10-24 13:39:48

标签: file vhdl

我的VHDL代码中有2 D矩阵类型,所有元素都是“真实”类型。

这样的事情:

type type1 is array (1 to 50,1 to 50) of real;

现在我想将整个矩阵写入一个文本文件。每行应该用逗号分隔,用逗号分隔,我该怎么做?

我查看了textio包,但不确定如何将它们用于真实数据类型。

是否有任何自定义包?

2 个答案:

答案 0 :(得分:1)

您是否检查过real'image(value)以获取实际值的字符串表示形式?

答案 1 :(得分:1)

开始使用textio

use textio.all;

一些有用的变量:

    variable s : line;
    file output : text;

主要代码是这样的:

    for y in image1'range(2) loop
      for x in image1'range(1) loop
        write(s,real'image(image1(x,y));
      end loop;
      writeline(output,s);
    end loop;

我会留下您添加逗号分隔符和所需的页眉/页脚。