仿真 EDIF 文件时 Vivado 上的解析错误

时间:2021-03-13 19:35:10

标签: vivado yosys

我使用 Yosys 生成了一个 EDIF 文件,我想在 Vivado 上进行合成后模拟。但是,我收到以下解析错误。你有什么想法,什么会导致这个错误? 我正在使用 Yosys 0.9+3981 和 Vivado 2018.3 Parse Error

(cell (rename id00064 "aes_key_regs(perfectly_interleaved="yes")(1,3)")
  (cellType GENERIC)
  (view VIEW_NETLIST
    (viewType NETLIST)
    (interface
      (port RstxBI (direction INPUT))
      (port (array KeyToSboxOutxDO 8) (direction OUTPUT))
      (port KeySchedulexSI (direction INPUT))
      (port (array KeyOutxDO 8) (direction OUTPUT))
      (port (array KeyInxDI 8) (direction INPUT))
      (port (array KeyInterleavedxDI 8) (direction INPUT))
      (port (array K03xDO 8) (direction OUTPUT))
      (port ClkxCI (direction INPUT))
    )

0 个答案:

没有答案