具有串行D_触发器的4位寄存器

时间:2019-06-23 15:12:09

标签: vhdl

我尝试做一个同步电路,以并行传输方式将二进制数从4位寄存器(寄存器A)传输到另一个4位寄存器(寄存器B)。寄存器将使用D和J-K触发器来实现。我只是堆叠在这个问题中,我需要帮助,以了解如何解决这个问题,任何机构都可以帮助我吗????

这是我到目前为止尝试过的代码

entity registe4bit is
port (d   : in std_logic_vector(7 downto 0);
      clear  : in std_logic;
      clk    : in std_logic;
      Qout     : out std_logic_vector(7 downto 0));
end registe4bit;

architecture Behavioral of registe4bit is  
component DFF is
port(D,Clock:in std_logic; 
      Q:inout std_logic
      );
end component DFF;
--signal Q0,Q1,Q2,Q3,Q4,Q5,Q6,: out std_logic ;
begin

FF0: DFF port map(d(0),clk,Q(0));
FF1: DFF port map(d(1),clk,Q(1));
FF2: DFF port map(d(2),clk,Q(2));
FF3: DFF port map(d(3),clk,Q3);

FF4: DFF port map(d(4),clk,Q(4));
FF5: DFF port map(d(5),clk,Q(5));
FF6: DFF port map(d(6),clk,Q(6));
FF7: DFF port map(d(7),clk,Q(7));

end Behavioral

0 个答案:

没有答案